Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network

Pingqiang Zhou, Dong Jiao, Chris H. Kim, Sachin S. Sapatnekar

Research output: Chapter in Book/Report/Conference proceedingConference contribution

23 Scopus citations

Abstract

In this paper, we explore the design of on-chip switched-capacitor (SC) DC-DC converters in the context of multicore processors, using an accurate power grid simulator. Results show that distributed design of SC converters can reduce the IR drop by up to 74% compared to the lumped design, with improved supply voltage. We also demonstrate the usage of SC converters for multi-domain power supply.

Original languageEnglish (US)
Title of host publication2011 IEEE Custom Integrated Circuits Conference, CICC 2011
DOIs
StatePublished - 2011
Event33rd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2011 - San Jose, CA, United States
Duration: Sep 19 2011Sep 21 2011

Publication series

NameProceedings of the Custom Integrated Circuits Conference
ISSN (Print)0886-5930

Other

Other33rd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2011
Country/TerritoryUnited States
CitySan Jose, CA
Period9/19/119/21/11

Fingerprint

Dive into the research topics of 'Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network'. Together they form a unique fingerprint.

Cite this