INVITED: Toward an open-source digital flow: First learnings from the OpenROAD project

Tutu Ajayi, Vidya A. Chhabria, Mateus Fogaça, Soheil Hashemi, Abdelrahman Hosny, Andrew B. Kahng, Minsoo Kim, Jeongsup Lee, Uday Mallappa, Marina Neseem, Geraldo Pradipta, Sherief Reda, Mehdi Saligane, Sachin S. Sapatnekar, Carl Sechen, Mohamed Shalan, William Swartz, Lutong Wang, Zhehong Wang, Mingyu WooBangqi Xu

Research output: Chapter in Book/Report/Conference proceedingConference contribution

51 Scopus citations

Fingerprint

Dive into the research topics of 'INVITED: Toward an open-source digital flow: First learnings from the OpenROAD project'. Together they form a unique fingerprint.

Mathematics

Engineering & Materials Science