A New Class of Covert Channels Exploiting Power Management Vulnerabilities

S. Karen Khatamifard, Longfei Wang, Selcuk Kose, Ulya R. Karpuzcu

Research output: Contribution to journalArticlepeer-review

12 Scopus citations

Abstract

Effective runtime power management requires hardware activity to be tracked at a very fine granularity in both space and time in order to meet diverse workload performance requirements within a tight power budget. As the available instantaneous power budget itself represents a shared resource, this practically translates into finding the optimal allocation of the power budget among active tasks of execution. Covert communication over a previously unexplored class of channels thereby becomes possible, which forms the focus of this paper.

Original languageEnglish (US)
Article number8419335
Pages (from-to)201-204
Number of pages4
JournalIEEE Computer Architecture Letters
Volume17
Issue number2
DOIs
StatePublished - Jul 1 2018

Bibliographical note

Funding Information:
This work is supported in part by the NSF CAREER Award under Grant CCF-1350451, in part by the NSF/SRC Award under Grant CNS-1715286, and in part by the Cisco Systems Research Award.

Funding Information:
This work is supported in part by the NSF CAREER Award under Grant CCF-1350451

Publisher Copyright:
© 2002-2011 IEEE.

Keywords

  • Power management vulnerabilities
  • covert channels

Fingerprint

Dive into the research topics of 'A New Class of Covert Channels Exploiting Power Management Vulnerabilities'. Together they form a unique fingerprint.

Cite this