Determining application-specific peak power and energy requirements for ultra-low-power processors

Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, John M Sartori

Research output: Contribution to journalArticlepeer-review

7 Scopus citations

Abstract

Many emerging applications such as the Internet of Things, wearables, implantables, and sensor networks are constrained by power and energy. These applications rely on ultra-low-power processors that have rapidly become the most abundant type of processor manufactured today. In the ultra-low-power embedded systems used by these applications, peak power and energy requirements are the primary factors that determine critical system characteristics, such as size, weight, cost, and lifetime. While the power and energy requirements of these systems tend to be application specific, conventional techniques for rating peak power and energy cannot accurately bound the power and energy requirements of an application running on a processor, leading to overprovisioning that increases system size and weight. In this article, we present an automated technique that performs hardware-software coanalysis of the application and ultra-low-power processor in an embedded system to determine application-specific peak power and energy requirements. Our technique provides more accurate, tighter bounds than conventional techniques for determining peak power and energy requirements. Also, unlike conventional approaches, our technique reports guaranteed bounds on peak power and energy independent of an application's input set. Tighter bounds on peak power and energy can be exploited to reduce system size, weight, and cost.

Original languageEnglish (US)
Article number9
JournalACM Transactions on Computer Systems
Volume35
Issue number3
DOIs
StatePublished - Dec 2017

Bibliographical note

Publisher Copyright:
© 2017 ACM.

Keywords

  • Application-specific hardware
  • Hardware-software coanalysis
  • Internet of Things
  • Peak power analysis
  • Ultra-low-power processors

Fingerprint

Dive into the research topics of 'Determining application-specific peak power and energy requirements for ultra-low-power processors'. Together they form a unique fingerprint.

Cite this